Есть код сдвигового регистра
entity DFF is
port (
RSTn, CLK, D : in bit;
Q : out bit);
end DFF;
architecture RTL of DFF is
begin
process (RSTn, CLK)
begin
if (RSTn = '0') then
Q <= '0';
elsif (CLK'event and CLK = '1') then
Q <= D;
end if;
end process;
end RTL;
entity SHIFT is
port (
RSTn, CLK, SI : in bit;
SO : out bit);
end SHIFT;
architecture RTL3 of SHIFT is
component DFF
port (
RSTn, CLK, D : in bit;
Q : out bit);
end component;
signal T : bit_vector(8 downto 0);
-- декларация сигнала T
begin
T(8) <= SI;
SO <= T(0);
g0 : for i in 7 downto 0 generate
allbit : DFF
port map (RSTn => RSTn, CLK => CLK, D => T(i+1), Q => T(i));
end generate;
end RTL3;
Необходимо сделать сдвиговый n разрядный регистр , сдвигать должен влево или вправо...Как я поняла нужно делать на D триггере, но не понимаю как одновременно сдвигать. Плюс нужно использовать generic и generate А использовать библиотеки std_logic а не bit.....Может таблицу истинности подскажите Раздел: ПЛИС
Продам импульсный блок питания для усилителя мощности с двухполярным питанием +-27в, мощность блока питания до 300Вт, отлично подойдёт для питания стерео усилителя на микросхемах тда7294(3) или лм3886.Ёмкости в питании с избытком хватает для полноценной работы усилителя без дополнительных конденсаторов,на входе 2*330мкф/200в , на выходе 6*1000мкф/35в.Ключи irf840. в блоке питания предусмотрено питание вентилятора 12в и возможность коррекции оборотов двигателя вентилятора.Блок питания имеет индикацию работы, светодиод можно вынести на переднюю панель усилителя..Размеры блока питания (без радиатора) 90*110*40ммцена блока питания 300 гривен .находиться на Украине в Сумской области.отправлю наложенным платежём по Украине, в другие страны отправлю только при предоплате 100%+стоимость почтовых услуг.возможен бартер на ДВУХ ЯДЕРНЫЙ процессор под AM2 + ddr2 2Gb(можно планками по 512 или 1024). предпочтениеAMD Athlon 64 X2 4800+5200+5400+5600+5800+6000+все предложения пишите в личные сообщения ЛС. Раздел: Продам-Отдам, Услуги
Хочу подключить эту матрицу к cubieboard, но не могу найти ее даташит. Матрица от электронной книги teXet TB-740HD. Раздел: Поиск схем
Продам металлоискатель КОЩЕЙ-5И собранный из набора мастер кит вм8042, в комплекте электронный блок, поисковая катушка NM8044 и аккумулятор 12В/1,3Ач. Цена- 4000р.+пересыл из Пензы. Причина продажи- нет времени заниматься им( Раздел: Продам-Отдам, Услуги
Всем доброго времени. Имеется вопрос, как можно согласовать уровень 12в и 5в. То есть имеется микроконтролер АВР с питанием 5в и к нему нужно подключить сигнал с уровнем 12в. Резистор и стабилитрон не катит потому как сигнал или верней импульсы не доходят к нулю или не переходит ноль не знаю как правильно. Изобразил на картинке, но не знаю одного, до скольки падает сигнал, написал на вскидку8в. Стабилитрон с резистором в этом случаи просто все в "полосочку", а как по другому подать не представляю. Может кто подкинет еще варианты??? Раздел: Песочница или Вопрос-Ответ
Есть железо, стержневое штампованое, при расчетах у меня получилось что на 2е катушки приходится 88витков(1 -44 витка, 2- 44 витка), ммм или может такое быт? на 220Вольт Раздел: Песочница или Вопрос-Ответ
Здравствуйте есть саб с двумя катушками по 2 ом,можно ли подключить тда8560 2*40вт ,один канал к одной катушке а второй к другой (естественно синхронизировав эти каналы! в итоге получится 80вт ? Раздел: Авто-аудио
Добрый день. Подскажите можна заменить оу в схеме LM301 на MAA501,MAA741? Раздел: Песочница или Вопрос-Ответ
Надо мне сварганить регулятор напряжения от 16-22 вольт 15 ампер, что бы регулировка могла выполнять отдельным источником питания от 0-10 вольт. и на этих же выводах резистором переменным. при подаче напряжения на вход подымалось на выходе, и вместо напряжения можно было подключать резистор подстрочный и с уменьшением сопротивления между выводами напряжение на выходе подымалось. Тык ните носов в нужную литературу, или схему на подобии, а там я сам уже буду отталкиваться от основы, я не знаю как правильно сварганить сам узел регулировки. Раздел: Песочница или Вопрос-Ответ
Как можно подключить ЖК дисплей через USB к мобильному устройству?
он должен выводить видео записи и картинки с экрана мобильного на ЖК экран.
и намного ли измениться схема подключения если подключить не ЖК экран, а дисплей с электронными чернилами? Раздел: Песочница или Вопрос-Ответ
[next-page][/next-page]
|