Добрый день!
Решил поэкспериментировать с ПЛИС, заказал девборд с Spartan-3E на борту. Пока девборд не приехал экспериментирую теоретически.
Установил Xilinx ISE Webpack, создал новый проект, выбрал язык VHDL. Написал в качестве тренировки 16-битный ШИМ:
entity PWM is
port (clk: in std_logic; lvl: in std_logic_vector(15 downto 0); pwm: out std_logic);
end PWM;
architecture Behavioral of PWM is
....
end Behavioral;
Проект синтезируется нормально, я могу посмотреть раскладку элементов (LUT, триггеров и прочего) в кристалле. Но возникает такой вопрос: как проверить правильность поведения элемента? Насколько я понимаю, можно запустить симуляцию, подав на вход определенные сигналы и проследить что будет происходить внутри системы. Но как это сделать я не могу разобраться.
Подскажите, пожалуйста, как протестировать элемент?
Можно ли написать автоматизированный тест, проверяющий корректность реализации, по аналогии с юнит-тестом в программировании?
Заранее спасибо.
Михаил.
Раздел: ПЛИС
Решил поэкспериментировать с ПЛИС, заказал девборд с Spartan-3E на борту. Пока девборд не приехал экспериментирую теоретически.
Установил Xilinx ISE Webpack, создал новый проект, выбрал язык VHDL. Написал в качестве тренировки 16-битный ШИМ:
entity PWM is
port (clk: in std_logic; lvl: in std_logic_vector(15 downto 0); pwm: out std_logic);
end PWM;
architecture Behavioral of PWM is
....
end Behavioral;
Проект синтезируется нормально, я могу посмотреть раскладку элементов (LUT, триггеров и прочего) в кристалле. Но возникает такой вопрос: как проверить правильность поведения элемента? Насколько я понимаю, можно запустить симуляцию, подав на вход определенные сигналы и проследить что будет происходить внутри системы. Но как это сделать я не могу разобраться.
Подскажите, пожалуйста, как протестировать элемент?
Можно ли написать автоматизированный тест, проверяющий корректность реализации, по аналогии с юнит-тестом в программировании?
Заранее спасибо.
Михаил.
Раздел: ПЛИС